How to Use the Verification Playground

 



Verification Playground allows you to run and see code and results in real time using a web browser. You can also share your playground with others and view theirs with a static link.

Create a New Playground

To launch a new playground, click the EDA Playground logo in the toolbar or on any of the app windows. You can type a name and description for your playground, as well as upload files to use as inputs to the design or testbench.

Save a Playground

To save a playground, click on the Save button in the top right of the Playground window. This will store the playground, including code and bottom 200 lines of results, and options. When you return to the playground page, the playground will reload and show the code and results.

Copy a Playground

If you have modified a 검증놀이터, clicking on the Copy button will generate a copy of that playground with a static link to it. This link can be shared with other users and updated when you re-open the playground page.

MailSlurp Playground

Email verification is a common practice for many applications, and this playground simulates the sign-up form that sends a random code to the user's email address after signing up. Then, the user must enter that code on a confirmation page to complete sign-up.

The resulting waves can be loaded into EPWave, our browser-based wave viewer, to view. The playground also supports a number of other features, such as code search and links to the documentation.

Real-Time Collaboration

The EDA Playground forum is a great place to ask questions, share your experiences, and read answers from other users. It's a great way to learn more about EDA Playground and the Verification Academy, and it's also great for getting feedback on your own code.

Specify Languages and Libraries

To use EDA Playground to write, compile, and run your code, you need to select the coding language and simulators you want to use. Several tools/simulators are available to choose from, each with its own set of options for identifying the source and target design modules, and providing additional information about the code.

EDA Playground also provides a wide range of coding languages to choose from, including Verilog, SystemVerilog, VHDL, C++/SystemC, and more. These languages are supported for both the design and the testbench, and a variety of libraries are included in each of them.


No comments

Powered by Blogger.